[Перевод] «Искусство схемотехники»: предисловие к 3 изданию

5a087de600da15966a2ae281f26b92eb.png

На днях узнал, что вышла русскоязычная версия третьего оригинального издания книги «Искусство схемотехники» (статья на Хабре). Новость заинтриговала. Так как достаточно давно увлекаюсь этой книгой и не понаслышке знаю, что отечественные читатели ее очень ждали. Точнее даже, заждались. Авторы оригинальной книги еще в 2015 году сделали рекламу своему произведению, разместив в свободном доступе, на сайте, посвященному книге, предисловие к третьему изданию. Но это не только реклама, но и достаточно детальное описание книги, а также декларация концепций и идей в ней заложенных. Надеюсь, мой скромный любительский перевод не будет воспринят издательством «Бином» в штыки: целей что-либо нарушать у меня нет. Если что, ко мне можно обратиться на почту. Я всегда рад конструктивному диалогу и считаю, что давно сложились условия, когда отечественная версия оригинальной книги просто обязана превзойти оригинал. Кроме того, реклама от самих авторов-корифеев еще никому не вредила.

Я заказал себе «первый том» от «Бинома». Скоро должна книжечка прийти. Я ее внимательно изучу, и по результатам будет рецензия. Надеюсь, книга оправдает мои ожидания. Даже интересно сравнить свои навыки в переводе с профессионалами. А пока, предлагаю приступить к чтению предисловия.

Закон Мура (Moore«s Law) все еще подтверждает свою состоятельность. Он все еще непоколебим с момента публикации второго издания и четверть века спустя. В этом новом, третьем (И ПОСЛЕДНЕМ) издании, мы постарались откликнуться существенными доработками на разительные изменения в области электроники.

•       Сделан акцент на устройства и схемы для аналого-цифрового и цифроаналогового преобразования (Глава 13), поскольку встроенные микроконтроллеры теперь повсеместны.

•       Продемонстрированы специализированные периферийные ИМС для совместной работы с микроконтроллерами (Глава 15).

•       Реализовано подробное обсуждение проблемы выбора среди существующих логических семейств ИМС, а также проблемы взаимодействия логических сигналов с реальным окружающим миром (Главы 10 и 12).

•       Добавлен в значительном объеме материал по ряду важных тем, касающихся проектирования аналоговой части устройств:

̶         проектирование высокоточных схем (Глава 5);

̶         проектирование схем с низкими шумами (Глава 8);

̶         проектирование импульсных источников питания (Главы 3, 9 и 12);

̶         преобразование в цепях электропитания (Глава 9).

Мы также добавили множество новых увлекательных тем, в том числе:

•       цифровые аудио и видео (включая кабельное и спутниковое телевидение);

•       линии передачи сигнала;

•       моделирование схем на языке SPICE;

•       трансимпедансные усилители;

•       МОП ПТ с индуцированным каналом;

•       МОП ПТ со встроенной защитой;

•      мощные ключи;

•       свойства кристаллов кварца и генераторы;

•       полное исследование ПТ на p-n-переходе;

•       высоковольтные стабилизаторы;

•       оптоэлектроника;

•       мощные логические регистры;

•       дельта-сигма преобразователи;

•       высокоточное преобразование с множественными значениями крутизны;

•       технологии изготовления запоминающих устройств;

•       последовательные цифровые шины;

•       показательные примеры профессионального проектирования.

В этом новом издании мы учли изменения в области электроники, произошедшие с тех пор, как были опубликованы предыдущие издания. Не смотря на то, что «Искусство схемотехники» (издается уже 35 лет) основано на рукописных методических материалах к университетскому курсу, книга снискала большую популярность в инженерной среде. Поэтому, мы продолжили использование концепции «так мы это делаем» при проектировании схем. Мы увеличили глубину изложения материала, при этом (как мы надеемся) сохранив доступность и простоту толкования основ электроники. В то же время, часть методических и лабораторных материалов по курсу нами выделено в отдельную книгу »Постижение «Искусства схемотехники»: практическое руководство» (Learning the Art of Electronics), в качестве важного дополнения. Она аналогична книге »Искусство схемотехники: руководство студента» (Student Manual for The Art of Electronics), издававшейся совместно с предыдущим изданием.

Мы старательно трудились на протяжении 20 лет, готовя данное издание к печати. В него вошла важная для проектирования информация: около 350 диаграмм, 50 фотографий и 87 таблиц (охватывающих более чем 1900 активных компонентов схем). Таблицы позволяют осуществлять подбор компонентов на основе ключевых характеристик (как измеренных, так и задекларированных).

Поскольку значительно увеличились тематика и глубина изложения, мы приняли решение не включать ряд тем, которые были раскрыты во втором издании.2

Это не смотря на то, что в новой книге страницы большого формата, более компактный шрифт и многие рисунки умещаются в одной колонке.

Часть дополнительного материала, которую мы изначально надеялись включить в данный том (реальные свойства компонентов; продвинутые темы, касающиеся биполярных транзисторов, полевых транзисторов, операционных усилителей и регулирования в цепях электропитания) вместо этого будет позже издана в виде отдельной, сопутствующей книги «Искусство схемотехники: сборник x-глав» [«икс-глав», примечание от переводчика] (The Art of Electronics: The x-Chapters). Ссылки на дополнительные x-главы и рисунки из них, в данной книге выделены курсивом.

Обновился сайт artofelectronics.com, на котором, начиная с предыдущих изданий, копятся коллекции «Полезных схем» («Circuit ideas») и «Вредных схем» («Bad circuits»). Мы надеемся, что со временем сайт станет сообществом для живого обсуждения по электронике.

С удовольствием ждем ваших замечаний и предложений (а также просто письма от преданных поклонников) по адресам электронной почты: horowitz@phisics.harvard.edu и hill@rowland.harvard.edu.

Выражаем благодарность

С кого же начать благодарить наших бесценных коллег? Конечно же, во главе списка Дэвид Трана (David Tranah), наш неутомимый редактор из родного «Издательства Кембриджского Университета». Наша опора, незаменимый помощник с программой «LATEXpert», мудрый советник по всем издательским вопросам и даже (верите ли) наборщик текста! Он сверстал 1905 страниц размеченного текста, модифицировав исходные файлы формата LATEX с корректировками от различных авторов. Он внес несколько тысяч индексных записей и заставил все это взаимодействовать с более чем 1500 связанных рисунков и таблиц. Ему пришлось терпеть парочку привередливых авторов. Мы целиком в долгу у Дэвида. С нас кружка пива!

Выражаем признательность исключительному проектировщику в области электроники, Джиму Макартуру (Jim Macarthur)! Он внимательно прочел черновики глав книги и внес значительные рациональные предложения. Мы применили все из них. Наш коллега, Питер Лю (Peter Lu), обучил нас прелестям программы «Adobe Illustrator» и приходил на выручку, когда мы жаловались, что в тупике. Рисунки в книге качественно свидетельствуют о его руководстве. А наш неутомимый коллега, Джейсон Галликкио (Jason Gallicchio), своим мастерским владением программой «Mathematica» способствовал визуальному раскрытию свойств дельта-сигма преобразования, нелинейного управления и функций фильтра. Он также оставил свой след в главе о микроконтроллерах, делясь своими знаниями и кодом.

Мы благодарим за неоценимый вклад Боба Адамса (Bob Adams), Майка Бернса (Mike Burns), Стива Сервина (Steve Cerwin), Джесси Коулмана (Jesse Coleman), Майкла Ковингтона (Michael Covington), Дуга Доскосила (Doug Doskosil), Йона Хагена (Jon Hagen), Тома Хейса (Tom Hayes), Фила Хоббса (Phil Hobbs), Питера Хоровица (Peter Horowitz), Джорджа Контопидиса (George Kontopidis), Мэгги МакФи (Maggie McFee), Кёртиса Мида (Curtis Mead), Али Мехмеда (Ali Mehmed), Ангела Петерчева (Angel Peterchev), Джима Филлипса (Jim Phillips), Марко Сарторе (Marco Sartore), Эндрю Спека (Andrew Speck), Джима Томпсона (Jim Thompson), Джима ван Зи (Jim van Zee), Гу Юн Вэя (Gu Yeon Wei), Джона Уиллисона (John Willison), Джонатана Вольфа (Jonathan Wolff), Джона Вудгейта (John Woodgate) и Вуди Янга (Woody Yang). Мы также выражаем признательность всем тем (уверены в этом), кого тут не упомянули и приносим за это извинения. Авторы дополнительных материалов (схем, поразительных прикладных программ, необычных измерений и т. д., такие как Уве Бейс [Uwe Beis], Том Брюнс [Tom Bruhns] и Джон Ларкин [John Larkin]) упоминаются в соответствующих местах книги.

Саймон Кейпелин (Simon Capelin) не давал нам впадать в уныние своим постоянным участием и не ворчал на нас из-за несоблюдения сроков.

Мы хотели бы поблагодарить людей, задействованных в непосредственном производстве книги. Руководителя нашего проекта, Пэгги Роут (Peggy Rote), литературного редактора, Вики Донахи (Vicki Donahy) и подразделение неизвестных художественных оформителей, превративших наши рукописные схемы в качественные изображения.

Мы с теплотой вспоминаем нашего почившего коллегу и друга, Джима Уильямса (Jim Williams). Очень недостает его профессионально осведомленных рассказов о схемных недостатках и преимуществах, а также бескомпромиссных подходов к проектированию высокоточных схем. Конкретика его мироощущения является для всех нас примером.

И наконец, мы очень обязаны нашим любящим, заботливым и терпеливым женам, Виде (Vida) и Аве (Ava). Они десятилетиями не получали должного внимания, пока мы были одержимы этой книгой.

Немного об инструментах

Все таблицы были созданы при помощи программы «Excel» от корпорации «Microsoft». Все графики нарисованы в программе «Igor Pro». Затем таблицы и графики об-работаны в программе «Adobe Illustrator» c добавлением текстовых пояснений готическим шрифтом «Helvetica Neue LT». Снимки экранов осциллографов получены на наших надеж-ных приборах в компактных корпусах, TDS3044 и TDS3054, производства компании «Tektronix». Эти снимки прошли предварительную обработку в программе «Adobe Photoshop», с окончательной обработкой в программе «Adobe Illustrator». Все фотографии в книге сделаны в основном двумя фотоаппаратами:

•       камерой для пейзажной съемки «Calumet Horseman» 6 × 9 см c объективом «Schneider Symmar», с фокусным расстоянием 105 мм и диафрагмой f/5.6, на пленку «Kodak Plus-X 120» (использован проявитель «Microdol-X» в соотношении 1: 3 при температуре 75° по Фаренгейту, а для оцифровки — мультиформатный сканер фирмы «Mamiya»);

•       камерой «Canon 5D» с объективом, снабженным наклонной регулировкой оптической оси, использующим принцип Шаймпфлюга (Scheimpflug)11 Что это за принцип? Можете «загуглить»! и обладающим фокусным расстоянием 90 мм.

Мы составили «рукопись» книги на языке «LATEX» при помощи программы «PCTEX» от компании «Personal TeX, Incorporated». Текст набран шрифтами «Times New Roman» и «Helvetica». Последний зарождался с 1931 года22 Это был ответ на статью в лондонской газете «The Times», осуждающую устаревшие шрифты. и позднее был окончательно оформлен Максом Мидингером (Max Miedinger) в 1957 году.

Пол Хоровиц

Уинфилд Хилл

январь 2015 года

город Кембридж, штат Массачусетс

Колонка переводчика

По доброй традиции, музой этой статьи провозглашается Гуля Бибарсова, за здоровый дух авантюризма и успехи на ниве альпинизма.

Habrahabr.ru прочитано 6238 раз