Отладочная плата с жирной ПЛИС за 500р или что делать со старым антмайнером — как я делаю собственную платформу

С незапамятных времён, когда ещё существовали браузеры под ДОС, я взял себе ник Astra.

Поэтому и назвал проект превращения запчасти от майнера с мусорки в годную «отладку», с которым вожусь несколько месяцев, --Аstra_S9_SoC (+FPGA)-- или «платформа Astra9» Собственно, сама плата уже давно запроектирована и наштампована конторой Битмаин невероятно большим тиражом- порядка нескольких сотен тысяч (а то и миллиона) экземпляров. Сотни тысяч этих плат УЖЕ находятся у нас в стране, их не надо тащить с Али. Плата предназначена чисто для управления майнингом на хешплатах и не имеет никакой либо документации. Однако, «сердцем» платы является SoC+FPGA микросхема американской корпорации Xilinx — ZYNQ 7010 xc7z010-clg400, что позволяет использовать её как FPGA девборд и как одноплатник

Я взял на себя труд разработать рабочую документацию на эту плату. https://dzen.ru/a/ZtTuMNBQ3gFhmskj

В своих статьях рассмотрю основные варианты применения этого изделия. Как минимум, будут освещены такие вопросы:

0. Никакой возни, раздобыл плату, микро-СД-флешку — и через полчаса всё заработало https://dzen.ru/a/ZtumRpI4dV3u1R7v

1. Привет, народ или Как помигать светодиодом

2. Запустить Убунту

Убунту

Убунту

3. Подключить дисплей HDMI и вывести на него картинку, видео и даже иксы

78ebd57a1631e9f1aed23f69af961759.png

Иксы

4. GPIO и как ими управлять

5. I2C, IIC, SMBus и как подключить дисплейчик 1602 по квадратной шине.

45583ed2f1374dfdc099492020095f21.png

А также через параллельную шину https://dzen.ru/video/watch/66d51b5b19e72a1d4fd7be9c? share_to=link

6. SPI и как подключить дисплейчик 12864 через SPI

ST7920

ST7920

7. Генератор сигналов 0–100+ МГц.

8. Звук через I2S или PWM:

Можно сделать «умную колонку» https://dzen.ru/video/watch/66d4ff9c89907761c8246d9b

Можно синтезировать космические звуки https://dzen.ru/video/watch/66d50cfb9bffdb0602bfaeaa

9. USB

Так что делать со старым антмайнером? Конечно помигать светодиодом! Смотрю объявления, выбираю подходящий вариант

С учётом пересыла выйдет 600-700р

С учётом пересыла выйдет 600–700р

Приобретаю программатор

Белая коробочка - программатор

Белая коробочка — программатор

Припаиваю штырьки для программирования

Там шаг 2мм!

Там шаг 2 мм!

Припаиваю осциллятор кварц тактовый генератор

Распаиваю 1–2 кварцевых резонатора для тактирования FPGA

Все «Блинки» с Гитхаба не работали, пришлось сделать самому

Открываю Виваду

9b835555755499d46e699166c86ac4db.png

Открываю проект

Файлы проекта приложу

Файлы проекта приложу

Жду, пока загрузится

Процесс не быстрый

Процесс не быстрый

Загрузился, запускаю синтез

Синтез

Синтез

ОК

8c4ac6099cd26ee925f0ce6811a57bb9.png

Кажется, что ничего не происходит

Процесс пошел!

Процесс пошел!

Синтез готов, очередь инкубации Имплементации

ОК

ОК

ОК

ОК

Генерируем Битстрим

9a5b6ad83f1e5472b8dee2bb19db4894.png

Затем открываем диспетчер соединений

6a1a08de600b6e659de46b01ce6d7158.png

А там — пусто

Что то пошло не так

Что то пошло не так

Подключаю прогер, подаю питание на плату, жму на карданчик

1570c7b8b1341dd8782b3750a99a9271.png

Ага, зашевелилось

e874f1bafe0ddca22dfd199c029f5646.png

Плата определилась , буду её программировать

ebd3425e1b758b22c9641e1a7e0f5d77.png

Заливается в плату битстрим

8a88956416facb6b25218da8d4c9007a.png

Ура, замигало!

ca5c0a7f14e03ba798fb5d99f91fdf53.png

Файл проекта https://github.com/astranome/Astra_S9_FPGA/blob/main/Miga.7z

продолжение следует :

монитор и квадратики https://dzen.ru/media/id/64961f2d1801f95c29dcc4a4/hdmi-na-astras9fpga-6520c2413078d4542296270b

Убунта и Дебиан https://dzen.ru/a/ZSC3UILJ8CRFd9iu? referrer_clid=1400&

© Habrahabr.ru